複製鏈接
請複製以下鏈接發送給好友

數字電子技術基礎

(華中科技大學提供的慕課)

鎖定
數字電子技術基礎課程是華中科技大學於2017年04月11日首次在中國大學MOOC開設的慕課、國家精品在線開放課程。該課程授課教師是羅傑、秦臻、譚力、張林、宋琪、楊彩虹、張江山、魯放、左冬紅、楊鈾、周波、鍾國輝、鍾禕、劉瓊、程起敏、王琛、姚曉東。據2021年3月中國大學MOOC官網顯示,該課程已開課9次。 [1-3] 
數字電子技術基礎課程共有11章,包括數字邏輯概論、組合邏輯電路、硬件描述語言VerilogHDL、半導體存儲器、脈衝波形的產生與變換等內容。 [1-2] 
中文名
數字電子技術基礎
授課教師
羅傑、秦臻、譚力、張林、宋琪、楊彩虹、張江山、魯放、左冬紅、楊鈾、周波、鍾國輝、鍾禕、劉瓊、程起敏、姚曉東、王琛
提供院校
華中科技大學
開課時間
2017年04月11日(首次)
類    別
慕課、國家精品在線開放課程
授課平台
中國大學MOOC

數字電子技術基礎課程性質

數字電子技術基礎課程背景

21世紀,數字系統廣泛應用於通信、計算機、自動控制、互聯網、物聯網等領域,數字系統與人們的日常生活也相關聯,例如,智能手機、數字電視、數碼相機、醫用心電圖儀、CT儀器設備等都是數字技術的應用實例。在此背景下,華中科技大學開設了數字電子技術基礎課程。 [1-2] 

數字電子技術基礎課程定位

數字電子技術基礎課程是數字系統設計的入門課程,也是電氣、電子信息類相關學科各專業的一門主要技術基礎課程,有較強的實踐性和工程應用背景。 [1-2] 

數字電子技術基礎開課信息

開課次數
開課時間
學時安排
授課教師
參與人數
第1次開課
2017年04月11日~2017年08月15日
4~5小時每週
羅傑、秦臻、譚力、張林、宋琪、左冬紅、楊彩虹
12750
第2次開課
2017年09月11日~2018年01月27日
7995
第3次開課
2018年03月05日~2018年06月27日
4小時每週
羅傑、秦臻、譚力、張林、宋琪、左冬紅、楊彩虹、周波、魯放、鍾國輝、劉瓊、王琛、張江山、程起敏
15281
第4次開課
2018年08月27日~2019年01月14日
18132
第5次開課
2019年02月11日~2019年06月25日
3~5小時每週
羅傑、秦臻、張林、左冬紅、譚力、宋琪、楊彩虹、張江山、魯放、周波、鍾國輝、劉瓊、程起敏
26990
第6次開課
2019年08月26日~2020年01月02日
羅傑、秦臻、張林、左冬紅、譚力、宋琪、楊彩虹、張江山、魯放、周波、鍾國輝、劉瓊、程起敏、鍾禕
27695
第7次開課
2020年02月03日~2020年06月29日
羅傑、秦臻、張林、左冬紅、譚力、宋琪、楊彩虹、張江山、魯放、周波、鍾國輝、劉瓊、程起敏、鍾禕、楊鈾、姚曉東
44450
第8次開課
2020年08月24日~2021年01月02日
11122
第9次開課
2021年02月22日~2021年06月30日
待定
(表格內容參考資料 [1-2] 

數字電子技術基礎課程簡介

數字電子技術基礎課程採用“視頻講授+在線練習”的教學模式,內容以邏輯分析與設計為主線,講解邏輯分析和設計所必須的基礎理論。內容安排採用先“邏輯”後“電路”次序。首先講解數制、碼制和邏輯代數等基礎知識,接着重點講解組合邏輯電路和時序邏輯電路的分析與設計方法,再介紹數字設計的新方法——採用硬件描述語言(Verilog HDL)來描述和仿真數字電路,然後討論各種數字集成電路(含門電路、可編程邏輯器件和半導體存儲器)的原理及使用方法,最後一部分講解數、模與模、數轉換器和脈衝波形的產生與變換電路。 [1-2] 

數字電子技術基礎課程大綱

第1次開課課程大綱
第1章數字邏輯概論
1.1數字信號的描述方法
1.2數制
1.3二進制數的算術運算
1.4二進制代碼
第2章邏輯代數
2.1邏輯代數簡介
2.2邏輯運算和集成邏輯門簡介
2.3邏輯代數的基本定理和規則
2.4邏輯函數及其表示方法
2.5邏輯函數的代數化簡法
2.6邏輯函數的卡諾圖化簡法
2.7邏輯門的等效符號及其應用
第3章組合邏輯電路
3.1組合邏輯電路的分析
3.2組合邏輯電路的設計
3.3組合邏輯電路中的競爭冒險
3.4若干典型的組合邏輯電路
第4章鎖存器和觸發器
4.1基本雙穩態電路
4.2SR鎖存器
4.3D鎖存器
4.4觸發器的電路結構和工作原理198
4.5觸發器的邏輯功能
第5章時序邏輯電路
5.1時序邏輯電路的基本概念
5.2同步時序邏輯電路的分析
5.3同步時序邏輯電路的設計
5.4異步時序邏輯電路的分析
5.5若干典型的時序邏輯電路
第6章邏輯門電路
6.1邏輯門電路簡介
6.2基本CMOS邏輯門電路
6.3CMOS邏輯門電路的不同輸出結構及參數
6.4類NMOS和BiCMOS邏輯門電路
6.5TTL邏輯門電路
6.6ECL邏輯門電路
6.7邏輯門電路使用中的幾個實際問題
7半導體存儲器
7.1只讀存儲器
7.2隨機存取存儲器
8CPLD和FPGA
8.1概述
8.2簡單可編程邏輯器件
8.3複雜可編程邏輯器件(CPLD)
8.4現場可編程門陣列(FPGA)
8.5可編程邏輯器件開發過程簡介
9脈衝波形的變換與產生
9.1單穩態觸發器
9.2施密特觸發器
9.3多諧振盪器
9.4555定時器及其應用
10數模與模數轉換器
10.1D/A轉換器
10.2A/D轉換器
(注:課程大綱排版從左到右列 [1-2] 
第2次開課課程大綱
第1章數字邏輯概論
1.1數字信號的描述方法
1.2數制
1.3二進制數的算術運算
1.4二進制代碼
第2章邏輯代數
2.1邏輯代數簡介
2.2邏輯運算和集成邏輯門簡介
2.3邏輯代數的基本定理和規則
2.4邏輯函數及其表示方法
2.5邏輯函數的代數化簡法
2.6邏輯函數的卡諾圖化簡法
2.7邏輯門的等效符號及其應用
第3章組合邏輯電路
3.1組合邏輯電路的分析
3.2組合邏輯電路的設計
3.3組合邏輯電路中的競爭冒險
3.4若干典型的組合邏輯電路
第4章鎖存器和觸發器
4.1基本雙穩態電路
4.2SR鎖存器
4.3D鎖存器
4.4觸發器的電路結構和工作原理198
4.5觸發器的邏輯功能
第5章時序邏輯電路
5.1時序邏輯電路的基本概念
5.2同步時序邏輯電路的分析
5.3同步時序邏輯電路的設計
5.4異步時序邏輯電路的分析
5.5若干典型的時序邏輯電路
第6章硬件描述語言VerilogHDL
6.1VerilogHDL程序的基本結構
6.2VerilogHDL基本語法規則
6.3VerilogHDL結構級建模
6.4VerilogHDL數據流建模
6.5VerilogHDL行為級建模
6.6分層次的電路設計方法
6.7仿真測試文件的編寫
第7章輯門電路
7.1邏輯門電路簡介
7.2基本CMOS邏輯門電路
7.3CMOS邏輯門電路的不同輸出結構及參數
7.4類NMOS和BiCMOS邏輯門電路
7.5TTL邏輯門電路
7.6ECL邏輯門電路
7.7邏輯門電路使用中的幾個實際問題
第8章半導體存儲器
8.1只讀存儲器
8.2隨機存取存儲器
第9章CPLD和FPGA
9.1概述
9.2簡單可編程邏輯器件
9.3複雜可編程邏輯器件(CPLD)
9.4現場可編程門陣列(FPGA)
9.5可編程邏輯器件開發過程簡介
第10章脈衝波形的變換與產生
10.1單穩態觸發器
10.2施密特觸發器
10.3多諧振盪器
10.4555定時器及其應用
第11章數模與模數轉換器
11.1D/A轉換器
11.2A/D轉換器
(注:課程大綱排版從左到右列 [1-2] 
第3·9次開課課程大綱
第1章數字邏輯概論
1.1數字信號描述方法
1.2數制
1.3二進制數的算術運算
1.4二進制代碼
習題解析
第1章數字邏輯概論測驗題
第2章邏輯代數
2.1邏輯代數簡介
2.2邏輯運算和集成邏輯門簡介
2.3邏輯代數的基本定理和規則
2.4邏輯函數及其表示方法
2.5邏輯函數的代數化簡法
2.6邏輯函數的卡諾圖化簡法
2.7邏輯門的等效符號及其應用
習題解析
第2章邏輯代數測驗題
第3章組合邏輯電路
3.1組合邏輯電路的分析
3.2組合邏輯電路的設計
3.3組合邏輯電路中的競爭冒險
3.4編碼器
3.5譯碼器
3.6數據分配器與數據選擇器
3.7數值比較器與加法運算電路
習題解析
第3章組合邏輯電路測驗題
第4章鎖存器和觸發器
4.1概述
4.2SR鎖存器
4.3D鎖存器
4.4主從D觸發器
4.5維持阻塞D觸發器
4.6觸發器的邏輯功能
習題解析
第4章鎖存器和觸發器測驗題
第5章時序邏輯電路
5.1時序邏輯電路的基本概念
5.2同步時序邏輯電路的分析
5.3同步時序邏輯電路的設計
5.4異步時序邏輯電路的分析
5.5寄存器和移位寄存器
5.6計數器概念及異步二進制計數器
5.7同步二進制計數器
5.8集成計數器應用
5.9其他計數器
習題解析
第5章時序邏輯電路測驗題
第6章硬件描述語言VerilogHDL
6.1VerilogHDL程序的基本結構(第1~3章學完後,可以先學習6.1~6.6)
6.2VerilogHDL基本語法規則
6.3VerilogHDL結構級建模
6.4VerilogHDL數據流建模
6.5組合邏輯電路的行為級建模
6.6分層次的電路設計方法
6.7D觸發器與寄存器的行為級建模(第4~5章學完後,再學習6.7~6.11)
6.8計數器與有限狀態機的行為級建模
6.9四位顯示器的動態掃描控制電路設計
6.10測試代碼的編寫與ModelSim功能仿真簡介
6.11常用的系統任務和系統函數
附1ModelSim操作
第6章VerilogHDL測驗題
第7章邏輯門電路
7.1邏輯門電路簡介
7.2MOS管及其開關特性
7.3基本CMOS邏輯門電路
7.4CMOS邏輯門電路的不同輸出結構
7.5CMOS邏輯門的主要參數
7.6類NMOS和BiCMOS邏輯門
7.7BJT開關電路(選學)
7.8TTL反相器(選學)
7.9其它TTL門電路(選學)
7.10抗飽和TTL門電路(選學)
7.11邏輯使用中的幾個實際問題
第7章邏輯門電路測驗題
第8章半導體存儲器
8.1半導體存儲器概述和分類
8.2ROM的結構和工作原理
8.3可編程ROM簡介
8.4ROM應用舉例
8.5RAM的結構和工作原理
8.6SRAM的讀寫操作定時圖
8.7同步SRAM、FIFO存儲器及雙口存儲器簡介
8.8存儲容量的擴展、RAM應用舉例及本章小結
第8章半導體存儲器測驗題
第9章可編程邏輯器件
9.1可編程邏輯器件概述
9.2可編程邏輯陣列PLA和可編程陣列邏輯PAL
9.3通用陣列邏輯器件GAL
9.4CPLD基本結構簡介
9.5現場可編程門陣列FPGA
9.6可編程邏輯器件開發過程簡介與本章小結
附1基於XilinxFPGA的數字電路設計與實現(Vivado)
附2基於IntelFPGA的數字電路設計與實現(QuartusPrime)
第9章可編程邏輯器件測驗題
第10章脈衝波形的產生與變換
10.1單穩態觸發器
10.2施密特觸發器
10.3多諧振盪器
10.4555定時器及其應用(選學)
第10章脈衝波形的產生與變換測驗題
第11章數模與模數轉換器
11.6並行比較型A/D轉換器
11.7逐次比較型A/D轉換器
11.8雙積分式A/D轉換器
11.9A/D轉換器的主要技術指標
11.1權電阻網絡D/A轉換器
11.2倒T形電阻網絡D/A轉換器
11.3D/A轉換器的輸出方式
11.4D/A轉換器的主要技術指標
11.5A/D轉換的一般工作過程
第11章A/D與D/A測驗題
(注:課程大綱排版從左到右列 [1-2] 

數字電子技術基礎教學日曆

知識點
視頻時長
單元測驗
第1周
第一章
數字邏輯概論
(4學時)
1.1數字信號描述方法
01-01數字信號描述方法
14'49"
第4周
周6
23:00
前完成
1.2數制
01-02數制
14'44"
01-03二-十進制數轉換
15'36"
01-04其它不同數制間的轉換
9'57"
01-05二進制數的算術運算
10'54"
1.3二進制數的算術運算
01-06有符號數表示(一)
6'27"
01-07有符號數表示(二)
14'55"
01-08補碼的加減運算
15'34"
1.4二進制代碼
01-09二—十進制碼
13'38"
01-10格雷碼
14'2"
01-11ASCII碼和奇偶校驗碼
10'14"
第2周
第二章
邏輯代數(4學時)
2.1邏輯代數簡介
02-01邏輯代數簡介
9'10"
第4周
周6
23:00
前完成
2.2邏輯運算和集成邏輯門簡介
02-02邏輯代數基本運算
15'54"
02-03複合邏輯運算
7'34"
02-04三態輸出邏輯門簡介
3'55"
02-05集成邏輯門簡介
13'47"
2.3邏輯代數的基本定理和規則
02-06邏輯代數的基本定律
11'55"
02-07邏輯代數的基本規則
8'25"
2.4邏輯函數及其表示方法
02-08邏輯函數及其表示方法
13'51"
2.5邏輯函數的代數化簡法
02-09邏輯函數表達式的形式
10'35"
02-10邏輯函數的代數化簡法
7'52"
02-11邏輯函數表達式的變換
11'46"
2.6邏輯函數的卡諾圖化簡法
02-12邏輯函數最小項表達式
13'27"
02-13邏輯函數最大項表達式
10'22"
02-14卡諾圖的引出
15'10"
02-15邏輯函數的卡諾圖表示法
6'55"
02-16邏輯函數的卡諾圖化簡法
13'1"
02-17含無關項的邏輯函數化簡
10'2"
2.7邏輯門的等效符號及其應用
02-18邏輯門的替代符號
14'59"
第3周
第三章
組合邏輯電路
(10學時)
3.1組合邏輯電路的分析
03-01組合邏輯電路的分析
11'15"
第10周
周6
23:00
前完成
3.2組合邏輯電路的設計
03-02組合邏輯電路的設計過程
11'36"
03-03組合邏輯電路的優化實現
6'19"
3.3組合邏輯電路中的競爭冒險
03-04組合邏輯電路中的競爭冒險
9'23"
3.4編碼器
03-05編碼器
14'45"
03-06編碼器的應用
14'51"
第4周
3.5譯碼器
03-07二進制譯碼器
11'25"
03-08二進制譯碼器的應用
10'43"
03-09二-十進制譯碼器
5'49"
03-10七段顯示譯碼器
12'55"
03-11數字顯示電路
12'32"
3.6數據分配器與數據選擇器
03-12數據分配器
9'8"
03-13數據選擇器
11'10"
03-14數據選擇器的應用
10'19"
3.7數值比較器與加法運算電路
03-15數值比較器
9'34"
03-16數值比較器的應用
8'41"
第5周
03-17半加器和全加器
7'9"
03-18多位數加法器
12'27"
第四章
鎖存器和觸發器
(6學時)
4.1概述
04-01概述
13'31"
第14周
周6
23:00前完成
4.2SR鎖存器
04-02用或非門組成的SR鎖存器(一)
13'24"
04-03用或非門組成的SR鎖存器(二)
12'16"
04-04用與非門組成的SR鎖存器
18'33"
04-05門控SR鎖存器
10'6"
第6周
4.3D鎖存器
04-06D鎖存器的電路結構
14'38"
04-07D鎖存器的動態特性
13'26"
4.4主從D觸發器
04-08主從D觸發器
16'55"
04-09有其他控制端的D觸發器(一)
12'45"
04-10有其他控制端的D觸發器(二)
15'42"
04-11主從D觸發器的動態特性
12'55"
4.5維持阻塞D觸發器
04-12維持阻塞D觸發器
18'5"
4.6觸發器的邏輯功能
04-13D觸發器
10'41"
04-14JK觸發器
12'39"
04-15T觸發器和SR觸發器
13'2"
第7周
第五章時序邏輯電路
(10學時)
5.1時序邏輯電路的基本概念
05-01時序邏輯電路的基本概念
6'49"
第14周
周6
23:00前完成
05-02時序邏輯功能的描述
10'8"
5.2同步時序邏輯電路的分析
05-03同步時序邏輯電路分析(一)
9'33"
05-04同步時序邏輯電路分析(二)
14'2"
5.3同步時序邏輯電路的設計
05-05同步時序邏輯電路設計(一)
12'8"
第8周
05-06同步時序邏輯電路設計(二)
12'24"
5.4異步時序邏輯電路的分析
05-07異步時序電路分析
13'59"
5.5寄存器和移位寄存器
05-08寄存器及移位寄存器
18'45"
5.6計數器概念及異步二進制計數器
05-09計數器概念和異步二進制計數器計數器
7'35"
第9周
5.7同步二進制計數器
05-10同步二進制計數器
9'59"
5.8集成計數器應用
05-11集成計數器應用
14'56"
5.9其他計數器
05-12其他類型計數器
7'1"
第六章
硬件描述語言
VerilogHDL(6學時)
6.1VerilogHDL程序的基本結構
(可以提前到第4章之前學習6.1~6.6)
06-01HDL概述
8'33"
第15周
周6
23:00前完成
06-02HDL程序的基本結構
7'
6.2VerilogHDL基本語法規則
06-03HDL基本語法規則(一)
10'44"
06-04HDL基本語法規則(二)
7'58"
第10周
6.3VerilogHDL結構級建模
06-05HDL結構級建模
10'42"
6.4VerilogHDL數據流建模
06-06HDL數據流建模(一)
5'35"
06-07HDL數據流建模(二)
5'35"
6.5組合邏輯電路的行為級建模
06-08組合電路行為級建模
10'7"
6.6分層次的電路設計方法
06-09分層次的電路設計
15'33"
6.7D觸發器與寄存器行為級建模(學完第5章後,再學習6.7~6.11)
06-10D觸發器的行為級建模
16'17"
6-11寄存器的行為級建模
12'35"
6.8計數器與有限狀態機的行為級建模
06-12計數器的行為級建模
15'40"
06-13有限狀態機的行為級建模
13'53"
6.9四位顯示器的動態掃描控制電路設計
06-14四位顯示器的動態掃描控制電路設計
17'1"
6.10測試代碼的編寫與ModelSim功能仿真簡介
06-15編寫組合電路的測試代碼
12'35"
06-16基於ModelSim軟件的功能仿真簡介
15'27"
06-17編寫時序電路的測試代碼
13'54"
6.11常用系統任務和系統函數
06-18常用的系統任務和系統函數
17'11"
第11周
第七章邏輯門電路
(4學時)
7.1邏輯門電路簡介
07-01邏輯門電路簡介
8'14"
第15周
周6
23:00前完成
7.2基本CMOS邏輯門電路
07-02MOS管及其開關特性
8'26"
07-03基本CMOS邏輯門電路
7'48"
7.3CMOS邏輯門的不同輸出結構
07-04CMOS邏輯門的不同輸出結構
11'31"
7.4CMOS邏輯門的主要參數
07-05CMOS邏輯門的重要參數
12'14"
7.5類NMOS和BiCMOS邏輯門
07-06類NMOS門電路和BiCMOS門電路
8'35"
7.6TTL邏輯門電路
(選學)
07-07BJT的開關特性
8'3"
07-08TTL反相器
8'12"
07-09其它TTL門電路
8'23"
07-10抗飽和TTL門電路
8'51"
7.7邏輯門使用中的幾個實際問題
07-11邏輯門電路使用中的幾個實際問題
16'46"
第12周
第八章
半導體存儲器(4學時)
8.1半導體存儲器概述和分類
08-01半導體存儲器概述和分類
7'36"
第15周
周6
23:00前完成
8.2只讀存儲器(ROM)
08-02ROM的結構和工作原理
8'26"
08-03可編程ROM簡介
16'38"
08-04ROM應用舉例
9'9"
8.3隨機存取存儲器(RAM)
08-05RAM的結構和工作原理
13'33"
08-06SRAM的讀寫操作定時圖
6'38"
08-07同步SRAM、FIFO存儲器及雙口存儲器簡介
15'29"
08-08存儲容量的擴展
8'42"
08-09RAM應用舉例及本章小結
6'35"
第13周
第九章
可編程邏輯器件
(4學時)
9.1可編程邏輯器件概述
09-01可編程邏輯器件概述
6'54"
第15周
周6
23:00前完成
09-02可編程邏輯器件內部電路常用符號
4'59"
9.2簡單可編程邏輯器件
09-03可編程邏輯陣列PLA和可編程陣列邏輯PAL
8'38"
09-04通用陣列邏輯器件GAL
9'16"
9.3CPLD基本結構簡介
09-05CPLD基本結構簡介
11'27"
9.4現場可編程門陣列FPGA
09-06-FPGA實現邏輯功能的基本原理
7'47"
09-07FPGA結構簡介
12'47"
9.5可編程邏輯器件開發過程簡介(選學)
09-08可編程邏輯器件開發過程簡介與本章小結
9'37"
附1基於XilinxVivado軟件的FPGA開發過程
附2基於IP核的計數器電路設計
第14周
第十章
脈衝波形的變換與產生
(4學時)
10.1單穩態觸發器
10-01用門電路組成的微分型單穩態觸發器
14'46"
第16周
周6
23:00前完成
10-02集成單穩態觸發器
7'32"
10-03單穩態觸發器應用
8'10"
10.2施密特觸發器
10-04用門電路組成的施密特觸發器
12'22"
10-05集成施密特觸發器
7'11"
10-06施密特觸發器應用
5'37"
10.3多諧振盪器
10-07門電路組成的多諧振盪器
8'1"
10-08施密特觸發器構成的多諧振盪器
5'43"
10-09石英晶體振盪器
7'52"
10.4555定時器及其應用(選學)
10-10555定時器及其組成的施密特觸發器
7'31"
10-11555組成的單穩態觸發器
7'42"
10-12555組成的多諧振盪器
6'23"
第15周
第十一章
數模與模數轉換器
(4學時)
11.1D/A轉換器
11-01權電阻網絡D/A轉換器
10'14"
第16周
周6
23:00,
結業考試第17週三進行
11-02倒T形電阻網絡D/A轉換器
13'34"
11-03D/A轉換器的輸出方式
13'38"
11-04D/A轉換器的主要技術指標
15'29"
11.2A/D轉換器
11-05A/D轉換的一般工作過程
18'8"
11-06並行比較型A/D轉換器
9'39"
11-07逐次比較型A/D轉換器
11'33"
11-08雙積分式A/D轉換器
16'28"
11-09A/D轉換器的主要技術指標
8'40"
(注:表格內容參考資料 [1-2] 

數字電子技術基礎課前預備

數字電子技術基礎預備知識

學習數字電子技術基礎課程,學習者需要具備二極管、三極管(BJT、MOS)等電子器件的基礎知識。 [1-2] 

數字電子技術基礎學習資料

書名
作者
出版地
出版時間
出版社
《電子技術基礎(數字部分)(第六版)》
康華光
北京
2014年
《數字電子技術基礎(第三版)》
羅傑,彭容修
《數字電子技術基礎(第五版)》
閻石主
2006年
《VerilogHDL與FPGA數字系統設計》
羅傑
2015年
(注:表格內容參考資料 [1-2] 

數字電子技術基礎授課目標

掌握數字邏輯電路的基本原理、基本分析和基本設計方法,掌握數字集成電路的基本使用方法,瞭解可編程邏輯器件原理,能夠使用硬件描述語言設計、驗證並實現數字電路。
掌握半導體存儲器、數/模和模/數轉換電路的工作原理,為後續課程(如微機原理與接口技術、嵌入式系統、數字集成電路設計等)學習打下基礎。 [1-2] 

數字電子技術基礎證書要求

成績構成:單元測驗佔40%,課堂討論佔10%,期末考試佔50%。
60分≤成績<85分者,可以申請合格證書,成績≥85分者,可以申請優秀證書。 [1-2] 

數字電子技術基礎所獲榮譽

2003年,該課程被評為首批“國家精品課程”。
2016年,該課程獲得首批“國家級精品資源共享課”稱號。
2019年1月8日,該課程被中華人民共和國教育部認定為“2018年國家精品在線開放課程”。 [1]  [3] 

數字電子技術基礎教師簡介

該課程教師團隊均來自華中科技大學,其中羅傑、張林為教授職稱,秦臻、宋琪、張江山、左冬紅、楊鈾、周波、劉瓊、程起敏為副教授職稱,姚曉東、鍾禕、楊彩虹、譚力為講師職稱,魯放、王琛為副研究員職稱。 [4] 
參考資料