複製鏈接
請複製以下鏈接發送給好友

俞躍輝

鎖定
俞躍輝,生於1964年3月,上海人,1989年11月參加工作,中科院上海微系統與信息技術研究所半導體物理與器件物理研究生畢業,博士,研究員。
曾任中國科學院上海微系統與信息技術研究所黨委書記、副所長。 [5] 
上海硅產業集團股份有限公司董事長。 [1] 
中文名
俞躍輝
國    籍
中國
出生地
上海
出生日期
1964年3月
畢業院校
吉林大學(學士)
中國科學院上海微系統與信息技術研究所(博士)

俞躍輝人物經歷

俞躍輝教育經歷

1985年畢業於吉林大學電子工程系, 1989年於中科院上海微系統與信息技術研究所半導體物理與器件物理專業研究生畢業、獲得博士學位。 [2] 

俞躍輝工作經歷

1989年-1993年為中科院上海微系統所助理研究員,(其間,1990-10~1992-10,德國FHG集成技術研究所, 博士後)。 [3] 
1993年-2002年曆任上海微系統所副研究員、研究員、研究室副主任,其中1996年-1997年赴香港訪問學者。
2002年-2005年任上海新傲公司副總經理,研究員。
2006年起任上海微系統所人才教育處處長,研究員。
2009年3月起任上海微系統所所長助理兼人才教育處長,研究員。
2010年7月起任上海微系統所黨委副書記、紀委書記。
2015年9月起任上海微系統所黨委副書記、副所長、紀委書記。
2017年12月起任上海微系統所黨委書記。 [2] 
2019年4月起任上海硅產業集團股份有限公司董事長。 [4] 

俞躍輝職務任免

2023年7月31日,中共中國科學院黨組中共上海分院分黨組經研究,決定免去俞躍輝同志上海微系統與信息技術研究所黨委書記、副所長職務,保留正局級。 [5] 

俞躍輝研究方向

長期從事離子注入合成SOI的結構表徵、材料質量的改善、宏觀性能與微觀結構的關係研究及SOI器件工藝的研究。 [2] 

俞躍輝主要成就

作為SOI材料研發團隊的負責人之一,共同創建了上海新傲公司 - 我國新一代硅基集成電路材料SOI生產基地。實現了SOI技術的重大突破和SOI材料的產業化並解決了我國SOI“有無”的問題。2005年獲得上海市科技進步一等獎(第三完成人),2006年獲得國家科技進步一等獎(第五完成人)。 [2] 

俞躍輝所獲榮譽

(1) 中國科學院優秀導師, 部委級, 2018
(2) 中國電子教育學會優秀導師, 其他, 2018
(3) 亥姆霍茲聯合會傑出國際科技合作獎Helmholtz International Fellow Aw, 一等獎, 專項, 2013
(4) 高端硅基SOI材料研究, 院級, 2007
(5) 高端硅基SOI材料研發和產業化, 一等獎, 國家級, 2006 [3] 

俞躍輝科研項目

( 1 ) 高K柵介質材料, 主持, 國家級, 2009-06--2011-12
( 2 ) 20-14nm技術代關鍵材料技術和產品開發2014ZX02301003, 主持, 國家級, 2016-01--2018-12
( 3 ) Ⅲ-Ⅴ族半導體襯底上鉿基高k柵介質界面特性研究, 參與, 國家級, 2012-01--2015-12
( 4 ) 針對多層MoS2的低能等離子體可控摻雜機理及原位缺陷修飾研究, 參與, 國家級, 2018-01--2020-12
( 5 ) ALD等離子體系統原位鈍化SiC界面及快慢雙能態陷阱共軛抑制機理研究, 參與, 國家級, 2021-01--2024-12
( 6 ) LY, 主持, 國家級, 2020-01--2021-12 [3] 

俞躍輝專利成果

( 1 ) 一種SOI基p-GaN增強型GaN功率開關器件的製備方法, 2021, 第 4 作者, 專利號: CN111739801B
( 2 ) 一種SOI基凹柵增強型GaN功率開關器件的製備方法, 2021, 第 4 作者, 專利號: CN111739800B
( 3 ) 基於界面鈍化層的MOS電容器及其製備方法, 2020, 第 9 作者, 專利號: CN107507829B
( 4 ) 溝槽型MOSFET功率器件及其製作方法, 2020, 第 8 作者, 專利號: CN107564964B
( 5 ) 一種MOS功率器件及其製備方法, 2020, 第 8 作者, 專利號: CN107393814B
( 6 ) SiC器件柵介質層及SiC器件結構的製備方法, 2019, 第 8 作者, 專利號: CN107527803B
( 7 ) 基於AlGaN/p-GaN溝道的增強型縱向功率器件及製作方法, 2019, 第 7 作者, 專利號: CN110277445A
( 8 ) 一種基於SOI-量子點異質結的紅外探測器製備方法, 2019, 第 9 作者, 專利號: CN107359221B
( 9 ) 基於AlGaN/p-GaN溝道的增強型縱向功率器件, 2018, 第 7 作者, 專利號: CN207938616U
( 10 ) 一種高壓模擬集成開關電路, 2018, 第 7 作者, 專利號: CN105827224B
( 11 ) 一種基於SOI工藝的電池管理芯片電路, 2018, 第 6 作者, 專利號: CN105680107B
( 12 ) 基於氟化石墨烯鈍化的AlGaN/GaN HEMT器件及製作方法, 2018, 第 9 作者, 專利號: CN105304689B
( 13 ) 一種利用金屬/氧化物雙層掩膜結構刻蝕SiC的方法, 2017, 第 9 作者, 專利號: CN107275196A
( 14 ) SiC-LDMOS功率表器件及其製備方法, 2016, 第 9 作者, 專利號: CN106158933A
( 15 ) 一種基於薄膜半導體-石墨烯異質結的光電探測器製備方法, 2015, 第 8 作者, 專利號: CN105206689A
( 16 ) 一種水基ALD誘使的可逆N型石墨烯製備方法, 2015, 第 8 作者, 專利號: CN105129788A
( 17 ) 基於Metal/Insulator/AlGaN/GaN疊層MIS結構的負微分電阻器件及製備方法, 2015, 第 8 作者, 專利號: CN104599975A
( 18 ) 一種基於ALD的石墨烯基熱電子晶體管及其製備方法, 2014, 第 8 作者, 專利號: CN103985741A
( 19 ) 一種基於電流模的總線接收器, 2014, 第 6 作者, 專利號: CN203595959U
( 20 ) 一種抗EMI LIN總線信號驅動器, 2014, 第 5 作者, 專利號: CN103684398A
( 21 ) 一種電流模比較器, 2014, 第 7 作者, 專利號: CN103618525A
( 22 ) 一種總線信號接收器, 2014, 第 5 作者, 專利號: CN103607337A
( 23 ) 一種多柵SOI-LDMOS器件結構, 2014, 第 4 作者, 專利號: CN103594517A
( 24 ) 在石墨烯表面製備柵介質的方法, 2013, 第 6 作者, 專利號: CN102956467A
( 25 ) 一種SOI RESURF超結器件結構及其製作方法, 2013, 第 7 作者, 專利號: CN103021864A
( 26 ) 一種SJ-IGBT器件結構及其製作方法, 2013, 第 7 作者, 專利號: CN102969244A
( 27 ) 在InP襯底上製備高K柵介質薄膜和MIS電容的方法, 2012, 第 8 作者, 專利號: CN102760657A
( 28 ) 一種在GaAs襯底上製備Hf基高K柵介質薄膜的方法, 2012, 第 8 作者, 專利號: CN102664147A
( 29 ) 一種內嵌多N島P溝道超結器件及其製備方法, 2012, 第 8 作者, 專利號: CN102623345A
( 30 ) 一種內嵌多P島N溝道超結器件及其製備方法, 2012, 第 8 作者, 專利號: CN102593007A
( 31 ) 一種高k介質薄膜的製備方法, 2012, 第 8 作者, 專利號: CN102592974A
( 32 ) 一種MIS電容的製作方法, 2012, 第 8 作者, 專利號: CN102569070A
( 33 ) 一種基於SOI的ESD保護器件及其製作方法, 2011, 第 4 作者, 專利號: CN102201404A
( 34 ) 一種基於圖形化的SOI-ESD保護器件及其製作方法, 2011, 第 4 作者, 專利號: CN102201405A
( 35 ) 一種具有緩衝層的SOI超結LDMOS器件, 2011, 第 7 作者, 專利號: CN102130176A
( 36 ) 一種具有緩衝層的SOI超結LDMOS器件製作方法, 2011, 第 7 作者, 專利號: CN102130013A
( 37 ) 基於SOI材料的MOS電容器及其製作方法, 2011, 第 7 作者, 專利號: CN102254821A
( 38 ) 一種一維尺度受限的石墨烯納米帶的製備方法, 2011, 第 6 作者, 專利號: CN102254795A
( 39 ) 石墨烯納米帶的製備方法, 2011, 第 6 作者, 專利號: CN102243990A
( 40 ) 沉積柵介質的方法、製備MIS電容的方法及MIS電容, 2011, 第 7 作者, 專利號: CN102226270A
( 41 ) 石墨烯基場效應晶體管的製備方法, 2011, 第 7 作者, 專利號: CN102184849A
( 42 ) SOI超結LDMOS器件的LDD、LDS及緩衝層一體化製作方法, 2011, 第 7 作者, 專利號: CN102130012A
( 43 ) 一種基於SOI襯底的高介電常數材料柵結構及其製備方法, 2011, 第 6 作者, 專利號: CN101950758A
( 44 ) 基於SOI襯底的高介電常數材料柵結構及其製備方法, 2011, 第 6 作者, 專利號: CN101950757A
( 45 ) 具有溝槽結構的SOI高壓功率器件芯片的製備方法, 2011, 第 3 作者, 專利號: CN101944505A
( 46 ) 一種具有多層超結結構的LDMOS器件, 2010, 第 6 作者, 專利號: CN101916780A
( 47 ) 一種改善高阻SOI襯底上高介電常數柵介質性能的方法, 2010, 第 6 作者, 專利號: CN101924030A
( 48 ) 一種具有線性緩衝層的SOI超結LDMOS製作方法, 2010, 第 6 作者, 專利號: CN101916730A
( 49 ) 具有多層超結結構的SOI LDMOS器件製作方法, 2010, 第 6 作者, 專利號: CN101916729A
( 50 ) 可完全消除襯底輔助耗盡效應的SOI超結LDMOS結構的製作工藝, 2010, 第 6 作者, 專利號: CN101916728A
( 51 ) 一種SOI埋氧層下的導電層及其製作工藝, 2010, 第 6 作者, 專利號: CN101916761A
( 52 ) 可完全消除襯底輔助耗盡效應的SOI超結LDMOS結構, 2010, 第 6 作者, 專利號: CN101916779A
( 53 ) SOI高壓功率器件的製備方法, 2010, 第 3 作者, 專利號: CN101916727A
( 54 ) 一種基於垂直柵SOI CMOS器件的超結結構及其製作方法, 2010, 第 3 作者, 專利號: CN101789435A
( 55 ) 一種具有垂直柵結構的SOI CMOS器件, 2010, 第 3 作者, 專利號: CN101777564A
( 56 ) 一種可調節垂直柵SOI CMOS器件溝道電流的叉指型結構, 2010, 第 3 作者, 專利號: CN101764136A
( 57 ) 一種具有垂直柵結構的SOI CMOS器件的製作方法, 2010, 第 3 作者, 專利號: CN101764102A
( 58 ) 局部絕緣體上的硅製作功率器件的結構及實現方法, 2007, 第 2 作者, 專利號: CN100342549 [3] 

俞躍輝代表論文

(1) Silicon: quantum dot photovoltage triodes, NATURE COMMUNICATIONS, 2021, 第 13 作者
(2) Graphene-induced positive shift of the flat band voltage in recessed gate AlGaN/GaN structures, APPLIED PHYSICS LETTERS, 2021, 第 8 作者
(3) Optimized JFET regions of 4H-SiC VDMOS with reduced on-resistance and improved gate oxide reliability, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2020, 第 6 作者
(4) 帶有修調的分段曲率補償帶隙基準電路, Piecewise curvature compensated bandgap reference circuit with trimming procedure, 哈爾濱工業大學學報, 2020, 第 5 作者
(5) PbS colloidal quantum dots patterning technique with low vertical leakage current for the photodetection applications, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 9 作者
(6) Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors, SUPERLATTICES AND MICROSTRUCTURES, 2019, 第 9 作者
(7) Corrigendum to "Effects of polycrystalline AlN film on the dynamic performance of AlGaN/GaN high electron mobility transistors" Mat. Des. 148(2018) 1–7, Materials & Design, 2019, 通訊作者
(8) 高阻硅基GaN晶片上MIS柵結構GaN HEMT射頻器件研製, Research of MIS Gate GaN HEMT RF Devices on GaN Epitaxially-grown on High Resistance Si Substrate, 微波學報, 2019, 第 5 作者
(9) Band alignment regulation of HfO2/SiC heterojunctions induced by PEALD with in situ NH3-plasma passivation, PHYSICS LETTERS A, 2019, 第 9 作者
(10) 帶有固定延遲時間的IGBT去飽和過流檢測電路, IGBT DESAT Overcurrent Detection Circuit with Fixed Delay Time, 半導體技術, 2019, 第 5 作者
(11) Ambipolar Graphene-Quantum Dot Phototransistors with CMOS Compatibility, ADVANCED OPTICAL MATERIALS, 2018, 其他(合作組作者)
(12) 基於IGBT柵極米勒平台的新型電流過載檢測技術, IGBT over-load detection technique via Miller plateau voltage monitoring, 儀器儀表學報, 2018, 第 5 作者
(13) p-GaN在不同掩膜和刻蝕氣體中的ICP刻蝕, Inductively Coupled Plasma Etching of p-GaN Using Different Masks and Etching Gases, 半導體技術, 2018, 第 7 作者
(14) Enhanced interfacial and electrical characteristics of 4H-SiC MOS capacitor with lanthanum silicate passivation interlayer, APPLIED SURFACE SCIENCE, 2017, 第 10 作者
(15) Performance Improvement and Current Collapse Suppression of Al2O3/AlGaN/GaN HEMTs Achieved by Fluorinated Graphene Passivation, IEEE ELECTRON DEVICE LETTERS, 2017, 第 9 作者
(16) 退火温度對Au / Ti / 4H-SiC肖特基接觸特性的影響, Effects of Annealing Temperature on the Characteristics of Au / Ti / 4H-SiC Schottky Contact, 半導體技術, 2017, 第 4 作者
(17) Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3, RSC ADVANCES, 2017, 第 8 作者
(18) Morphology improvement of SiC trench by inductively coupled plasma etching using Ni/Al2O3 bilayer mask, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2017, 第 10 作者
(19) Negative differential resistance in the I-V curves of Al2O3/AlGaN/GaN MIS structures, RSC ADVANCES, 2016, 第 9 作者
(20) Semiconductor-like nanofilms assembled with AlN and TiN laminations for nearly ideal graphene-based heterojunction devices, JOURNAL OF MATERIALS CHEMISTRY C, 2016, 第 8 作者
(21) 一種快速轉換的過温保護電路, A Fast-Transition Over-Thermal Protection Circuit, 半導體技術, 2016, 第 3 作者
(22) Controlled direct growth of Al2O3-doped HfO2 films on graphene by H2O-based atomic layer deposition, PHYSICAL CHEMISTRY CHEMICAL PHYSICS, 2015, 第 3 作者
(23) Direct growth of sb2te3 on graphene by atomic layer deposition, RSC ADVANCES, 2015, 第 8 作者
(24) Fluorinated graphene in interface engineering of ge-based nanoelectronics, ADVANCED FUNCTIONAL MATERIALS, 2015, 第 6 作者
(25) Property transformation of graphene with Al2O3 films deposited directly by atomic layer deposition, APPLIED PHYSICS LETTERS, 2014, 第 6 作者
(26) Al2O3-Gd2O3 double-films grown on graphene directly by H2O-assisted atomic layer deposition, RSC ADVANCES, 2014, 第 9 作者
(27) Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, 2014, 第 9 作者
(28) Effects of rapid thermal annealing on properties of HfAlO films directly deposited by ALD on graphene, MATERIALS LETTERS, 2014, 第 8 作者
(29) Experimental Investigation on Alloy Scattering in sSi/Si0.5Ge0.5/sSOI Quantum-Well p-MOSFET, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2014, 第 7 作者
(30) Properties of HfO2/La2O3 nanolaminate films grown on an AlGaN/GaN heterostructure by plasma enhanced atomic layer deposition, RSC ADVANCES, 2014, 第 10 作者
(31) Improvement of Al2O3 Films on Graphene Grown by Atomic Layer Deposition with Pre-H2O Treatment, ACS APPLIED MATERIALS & INTERFACES, 2014, 第 9 作者
(32) Multi-Gates SOI LDMOS for Improved on-state Performance, 2014 IEEE 26TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & IC'S (ISPSD), 2014,
(33) PEALD HfO_2柵介質薄膜的界面優化及其特性表徵, Interface Optimization and Characterization of PEALD HfO_2 Gate Dielectric Film, 半導體技術, 2013, 第 6 作者
(34) Total-Dose Radiation Response of HfLaO Films Prepared by Plasma Enhanced Atomic Layer Deposition, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2013, 第 8 作者
(35) ON-Resistance Degradation Induced by Hot-Carrier Injection in SOI SJ-LDMOS, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2013, 第 6 作者
(36) Characterization of HfO2/La2O3 layered stacking deposited on Si substrate, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2013, 第 7 作者
(37) Competitive Si and La effect in HfO2 phase stabilization in multi-layer (La2O3)(0.08)(HfO2) films, APPLIED PHYSICS LETTERS, 2013, 第 3 作者
(38) 採用水基原子層沉積工藝在石墨烯上沉積A12O3介質薄膜研究, Studies on H2O-based Atomic Layer Deposition of Al2O3 Dielectric on Pristine Graphene, 無機材料學報, 2012, 第 8 作者
(39) Properties of a Ni-FUSI gate formed by the EBV method and one-step RTA, Properties of a Ni-FUSI gate formed by the EBV method and one-step RTA, JOURNAL OF SEMICONDUCTORS, 2012, 第 7 作者
(40) 薄膜SOl上大於600 V LDMOS器件的研製, Study of LDMOS Device over 600 V on Thin Film SOI, 半導體技術, 2012, 第 6 作者
(41) 薄膜SOI上大於600 V LDMOS器件的研製, 半導體技術, 2012, 第 6 作者
(42) 採用水基原子層沉積工藝在石墨烯上沉積Al_2O_3介質薄膜研究, 無機材料學報, 2012, 第 8 作者
(43) 基於SCR的SOI ESD保護器件研究, SCR based ESD protection in SOI technologies, 功能材料與器件學報, 2012, 第 7 作者
(44) Realization of 850 V breakdown voltage LDMOS on Simbond SOI, MICROELECTRONIC ENGINEERING, 2012, 第 6 作者
(45) Plasma enhanced atomic layer deposition of HfO2 with in situ plasma treatment, MICROELECTRONIC ENGINEERING, 2012, 第 7 作者
(46) Al_2O_3對應變SiGe上HfO_2薄膜的熱穩定性和電學可靠性的影響(英文), Effects of Al_2O_3 on Thermal Stability and Electrical Reliability of HfO_2 Film on Strained SiGe, 稀有金屬材料與工程, 2011, 第 6 作者
(47) Effects of Al2O3 on Thermal Stability and Electrical Reliability of HfO2 Film on Strained SiGeOriginal, Xiyou Jinshu Cailiao Yu Gongcheng/Rare Metal Materials and Engineering., 2011, 第 6 作者
(48) 反轉膠lift—Off工藝製備堆棧電感, Fabrication of stacked inductor by inversion photoresist lift - off process, 功能材料與器件學報, 2010, 第 6 作者
(49) A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication, A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication, JOURNAL OF SEMICONDUCTORS, 2009, 第 4 作者
(50) 一種新型混合晶向積累型圓柱體共包圍柵互補金屬氧化物場效應晶體管, A novel accumulation mode complementary GAAC FinFETs inverter with hybrid orientation SOl substrate, 科學通報, 2009, 第 7 作者
(51) Engineering of interfacial layer between HfAl 2O 5 dielectric film and Si with a Ti-capping layer, THIN SOLID FILMS, 2008, 第 4 作者
(52) 適用於按比例縮小至亞10nm的圓柱體全包圍柵場效應管仿真, Simulation of Gate-All-Around Cylindrical Transistors for Sub-10 Nanometer Scaling, 半導體學報, 2008, 第 5 作者
(53) 適用於按比例縮小至亞10nm的圓柱體全包圍柵場效應管仿真(英文), 半導體學報, 2008, 第 5 作者
(54) 適用於按比例縮小至亞10nm的圓柱體全包圍柵場效應管仿真, Simulation of Gate-All-Around Cylindrical Transistors for Sub-10 Nanometer Scaling, 半導體學報, 2008, 第 5 作者
(55) SOI LDMOS功率器件的研究與製備, Design and Fabrication of LDMOS Power Device on SOI Substrate, 微處理機, 2007, 第 4 作者
(56) 氮元素對高k鉿基介電薄膜總劑量抗輻射性能的影響研究, Effects of nitrogen element on total-dose irradiation response of high-k Hf-based dielectric films, 核技術, 2007, 第 5 作者
(57) Effects of nitrogen element on total-dose irradiation response of high-k Hf-based dielectric films, He Jishu/Nuclear Techniques, v 30, n 8, August, 2007, 2007, 第 5 作者
(58) 利用改善的體連接技術製備SOILDMOSFET, Improved Body Contact Technology for SOI LDMOSFET Fabrication, 半導體技術, 2006, 第 3 作者
(59) 高性能HfAlO介質薄膜的製備, Preparation of High-Quality Hf-Aluminate Films by EB-PVD, 稀有金屬材料與工程, 2006, 第 3 作者
(60) 適合基站放大器應用的圖形化SOILDMOSFET的設計與分析, 温州師範學院學報(自然科學版), 2006, 第 4 作者
(61) Characteristics of HfxSiyO films grown on Si0.8Ge0.2 layer by electron-beam evaporation, APPLIED PHYSICS LETTERS, 2006,
(62) 新型PSOI LDMOSFET的結構優化, Optimization of PSOI LDMOSFET Structure, 半導體技術, 2006, 第 3 作者
(63) 適合基站放大器應用的圖形化SOI LDMOSFET的設計與分析, A Novel LDMOS Power Amplifier in Patterned-SOI Technology for Base Station Applications, 温州師範學院學報, 2006, 第 4 作者
(64) 離子束增強沉積法制備二氧化鉿薄膜, Investigation of Hafnium Dioxide Film Synthesized by lon Beam Enhanced Deposition, 半導體技術, 2006, 第 2 作者
(65) CH4+Ar混合等離子體注入新工藝, 壓電與聲光, 2005, 第 2 作者
(66) 高性能圖形化SOI功率器件的研製, Fabrication of high performance patterned SO1 power device, 功能材料與器件學報, 2005, 第 4 作者
(67) 高温碳離子注入形成碳化硅埋層的結構研究, 功能材料與器件學報, 2004, 第 2 作者
(68) 新型圖形化 SOI LDMOS結構的性能分析(英文), 半導體學報, 2004, 第 4 作者
(69) 在SOI材料上製備高質量的氧化鉿薄膜, 功能材料, 2004, 第 3 作者
(70) 新型圖形化SOI LDMOS結構的性能分析, 半導體學報, 2004, 第 4 作者
(71) 用IBED方法在SOI上製備高質量的氧化鉿薄膜, 第十三屆全國半導體集成電路、硅材料學術會論文集, 2003, 第 3 作者
(72) 電絕緣用ta-C薄膜的微觀結構與電學特性, 功能材料與器件學報, 2003, 第 2 作者
(73) Ge—SiO2薄膜的結構及其發光特性的研究, 功能材料與器件學報, 2002, 第 5 作者
(74) Tetrahedral amorphous-carbon thin films for silicon-on-insulator application, APPLIED PHYSICS LETTERS, 2002,
(75) 低劑量SOI圓片的無損電學表徵測試, 功能材料與器件學報, 2002, 第 2 作者
(76) 退火温度對Ge-SiO_2簿膜的結構和發光特性的影響, 第四屆中國功能材料及其應用學術會議論文集, 2001, 第 5 作者
(77) OPTICAL PROBING OF FREE-CARRIER PLASMA EFFECTS OF MEV ION-IMPLANTED SILICON, CHINESE PHYSICS LETTERS, 1995, 通訊作者
(78) 高能離子注入硅形成導電埋層的光學表徵, 中國科學(A輯 數學 物理學 天文學 技術科學), 1994, 第 1 作者
(79) 氧離子和氮離子共注人硅形成絕緣埋層的微觀結構及其光學性質, 電子科學學刊, 1991, 第 1 作者
(80) 氧離子和氮離子共注入硅形成SOI結構的俄歇能譜研究, 半導體學報, 1991, 第 1 作者
(81) SIMNI和SIMOX多層結構及其光學性質的研究, 中國科學(A輯 數學 物理學 天文學 技術科學), 1990, 第 2 作者
(82) 多層結構薄膜材料的離子束合成及其物理性質研究, 1989, 第 1 作者 [3] 
參考資料