複製鏈接
請複製以下鏈接發送給好友

Wire

(Verilog語言關鍵詞)

鎖定
Verilog語言中的數據類型
module top;
wire y;
reg a, b;
DUT u1(y,a,b);
initial
begin
a = 0; b = 0;
#10 a =1; ….
end
endmodule
外文名
Wire
類    型
Verilog語言關鍵詞
Verilog HDL的數據對象中的連線型變量;
類似於信號;
數據類型默認為wire;