複製鏈接
請複製以下鏈接發送給好友

vhdl

(硬件描述語言)

鎖定
VHDL語言是一種用於電路設計的高級語言。出現在80年代的後期,最初是由美國國防部開發出來供美軍用來提高設計的可靠性和縮減開發週期的一種使用範圍較小的設計語言 。VHDL主要用於描述數字系統的結構,行為,功能和接口。除了含有許多具有硬件特徵的語句外,VHDL的語言形式、描述風格以及語法是十分類似於一般的計算機高級語言
中文名
超高速集成電路硬件描述語言
外文名
Very-High-Speed Integrated Circuit Hardware Description Language
誕    生
1982年
類    別
通用硬件描述語言
設計要點
將設計實體分成內外部分
應用學科
機械工程、儀器科學、計算機科學

vhdl語言簡介

VHDL語言是一種用於電路設計的高級語言。它在80年代的後期出現。最初是由美國國防部開發出來供美軍用來提高設計的可靠性和縮減開發週期的一種使用範圍較小的設計語言 。
VHDL翻譯成中文就是超高速集成電路硬件描述語言,主要是應用在數字電路的設計中。它在中國的應用多數是用在FPGA/CPLD/EPLD的設計中。當然在一些實力較為雄厚的單位,它也被用來設計ASIC
VHDL主要用於描述數字系統的結構,行為,功能和接口。除了含有許多具有硬件特徵的語句外,VHDL的語言形式、描述風格以及語法是十分類似於一般的計算機高級語言。VHDL的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統)分成外部(或稱可視部分,及端口)和內部(或稱不可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面後,一旦其內部開發完成後,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是VHDL系統設計的基本點。 [1] 

vhdl發展歷史

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,誕生於1982年。1987年底,VHDL被IEEE和美國國防部確認為標準硬件描述語言 。自IEEE-1076(簡稱87版)之後,各EDA公司相繼推出自己的VHDL設計環境,或宣佈自己的設計工具可以和VHDL接口。1993年,IEEE對VHDL進行了修訂,從更高的抽象層次和系統描述能力上擴展VHDL的內容,公佈了新版本的VHDL,即IEEE標準的1076-1993版本,簡稱93版。VHDL和Verilog作為IEEE的工業標準硬件描述語言,得到眾多EDA公司支持,在電子工程領域,已成為事實上的通用硬件描述語言

vhdl特點

與其他硬件描述語言相比,VHDL具有以下特點:
  1. 功能強大、設計靈活VHDL具有功能強大的語言結構,可以用簡潔明確的源代碼來描述複雜的邏輯控制。它具有多層次的設計描述功能,層層細化,最後可直接生成電路級描述。VHDL支持同步電路、異步電路和隨機電路的設計,這是其他硬件描述語言所不能比擬的。VHDL還支持各種設計方法,既支持自底向上的設計,又支持自頂向下的設計;既支持模塊化設計,又支持層次化設計。
  2. 支持廣泛、易於修改由於VHDL已經成為IEEE標準所規範的硬件描述語言,大多數EDA工具幾乎都支持VHDL,這為VHDL的進一步推廣和廣泛應用奠定了基礎。在硬件電路設計過程中,主要的設計文件是用VHDL編寫的源代碼,因為VHDL易讀和結構化,所以易於修改設計。
  3. 強大的系統硬件描述能力VHDL具有多層次的設計描述功能,既可以描述系統級電路,又可以描述門級電路。而描述既可以採用行為描述、寄存器傳輸描述或結構描述,也可以採用三者混合的混合級描述。另外,VHDL支持慣性延遲和傳輸延遲,還可以準確地建立硬件電路模型。VHDL支持預定義的和自定義的數據類型,給硬件描述帶來較大的自由度,使設計人員能夠方便地創建高層次的系統模型
  4. 獨立於器件的設計、與工藝無關設計人員用VHDL進行設計時,不需要首先考慮選擇完成設計的器件,就可以集中精力進行設計的優化。當設計描述完成後,可以用多種不同的器件結構來實現其功能。
  5. 很強的移植能力VHDL是一種標準化的硬件描述語言,同一個設計描述可以被不同的工具所支持,使得設計描述的移植成為可能。
  6. 易於共享和複用VHDL採用基於庫(Library)的設計方法,可以建立各種可再次利用的模塊。這些模塊可以預先設計或使用以前設計中的存檔模塊,將這些模塊存放到庫中,就可以在以後的設計中進行復用,可以使設計成果在設計人員之間進行交流和共享,減少硬件電路設計。 [1] 

vhdl優勢

(1)與其他的硬件描述語言相比,VHDL具有更強的行為描述能力,從而決定了他成為系統設計領域最佳的硬件描述語言。強大的行為描述能力是避開具體的器件結構,從邏輯行為上描述和設計大規模電子系統的重要保證。
(2)VHDL豐富的仿真語句和庫函數,使得在任何大系統的設計早期就能查驗設計系統的功能可行性,隨時可對設計進行仿真模擬。
(3)VHDL語句的行為描述能力和程序結構決定了他具有支持大規模設計的分解和已有設計的再利用功能。符合市場需求的大規模系統高效,高速的完成必須有多人甚至多個開發組共同並行工作才能實現。
(4)對於用VHDL完成的一個確定的設計,可以利用EDA工具進行邏輯綜合和優化,並自動的把VHDL描述設計轉變成門級網表。
(5)VHDL對設計的描述具有相對獨立性,設計者可以不懂硬件的結構,也不必管理最終設計實現的目標器件是什麼,而進行獨立的設計。 [1] 

vhdl簡例

library ieee;
use ieee.std_logic_1164.all; --庫聲明
entity TONE is
port(A,B:in std_logic; --實體定義
C:out std_logic);
end TONE;
architecture EX of TONE is --結構體定義
begin
C<=A OR B;
end EX;
參考資料
  • 1.    夏宇聞.Verilog數字系統設計教程.第3版 :北京航空航天大學出版社,2013