複製鏈接
請複製以下鏈接發送給好友

STD

(STD總線)

鎖定
STD總線是國際上流行的一種用於工業控制的標準微機總線,與1987年被批准為IEEE961標準。STD總線採用公共母版結構,即其總線佈置在一塊母板上,板上安裝若干個插座,插座對應引腳都是連接到同一根總線信號線上。系統採用模塊式結構,各種功能模塊都按標準的插件尺寸製造。各功能模塊可插入任意插座,只要模塊的信號、引腳都符合STD規範,就可以在STD總線上運行。因此可以根據需要組成不同規模的微機系統。STD總線採用56線雙列插座,插件尺寸為165.1mm×114.3mm,是8/16位微處理器總線標準。