複製鏈接
請複製以下鏈接發送給好友

EDA技術及應用教程

鎖定
《EDA技術及應用教程》是2009年機械工業出版社出版的圖書,作者是梁勇、秦春斌。本書主要介紹EDA技術的基本概念、應用特點、可編程邏輯器件、硬件描述語言(VHDL)及常用邏輯單元電路的VHDL編程技術等內容。
中文名
EDA技術及應用教程
作    者
梁勇 秦春斌
出版社
機械工業出版社
出版時間
2009年10月
頁    數
280 頁 [1] 
定    價
29 元 [1] 
開    本
16 開
ISBN
9787111281993

EDA技術及應用教程內容簡介

《EDA技術及應用教程》從教學和應用的角度出發,首先介紹了EDA技術的基本概念、應用特點、可編程邏輯器件、硬件描述語言(VHDL)及常用邏輯單元電路的VHDL編程技術;然後,以EDA應用為目的,通過EDA實例詳細介紹了EDA技術的開發過程、開發工具軟件Quartus Ⅱ的使用、EDA設計過程中常見工程問題的處理;最後,介紹了工程中典型的EDA設計實例。
《EDA技術及應用教程》各章節均配有習題及設計實例練習,便於讀者學習和教學使用。
《EDA技術及應用教程》可作為高等院校電子、通信、自動化及計算機等專業EDA應用技術的教學用書,也可作為高職院校相關專業的教學參考用書。

EDA技術及應用教程圖書目錄

前言
第1章 概述
1.1 EDA技術的發展
1.2 EDA技術的主要內容
1.2.1 可編程邏輯器件
1.2.2 硬件描述語言
1.2.3 EDA軟件開發工具
1.2.4 實驗開發系統
1.3 EDA技術的設計流程
1.3.1 設計輸入
1.3.2 邏輯綜合
1.3.3 目標器件的適配
1.3.4 目標器件的編程/下載
1.3.5 設計過程中的仿真
1.3.6 硬件仿真/硬件測試
1.4 EDA技術的設計方法
1.5 習題
第2章 可編程邏輯器件
2.1 簡單PLD的基本結構
2.2 CPLD的基本結構
2.3 FPCA的基本結構
2.4 可編程邏輯器件產品簡介
2.4.1 Ahera系列產品
2.4.2 Xilinx系列產品
2.4.3 Lattice系列產品
2.5 習題
第3章 硬件描述語言VHDL
3.1 VHDL簡介
3.1.1 VHDL的發展及特點
3.1.2 傳統設計與VHDL設計對照
3.2 VHDL程序的基本結構
3.2.1 VHDL程序的基本單元與構成
3.2.2 實體
3.2.3 結構體
3.2.4 程序包.庫和配置
3.3 VHDL的語法要素
3.3.1 VHDL文字規則
3.3.2 VHDL數據對象
3.3.3 VHDL數據類型
3.3.4 運算操作符
3.4 VHDL結構體的描述方式
3.4.1 順序描述語句
3.4.2 並行描述語句
3.4.3 屬性描述語句
3.5 VHDL設計邏輯電路的基本思想和方法
3.5.1 邏輯函數表達式方法
3.5.3 電路連接描述方法
3.5.4 不完整條件語句方法
3.5.5 層次化設計方法
3.6 習題
第4章 用VHDL程序實現常用邏輯電路
4.1.1 基本邏輯門
4.1.2 三態門
4.1.3 3-8譯碼器
4.1.5 7段碼譯碼器
4.1.6 二-十進制BCD譯碼器
4.1.7 多位加(減)法器
4.2.1 觸發器
4.2.2 計數器
4.2.3 分頻器
4.3 狀態機邏輯電路設計
4.3.1 一般狀態機的設計
4.3.2 狀態機的應用
4.4 習題
第5章 EDA開發軟件及應用
5.1 QuartusII軟件簡介
5.2 QuartusII軟件的安裝
5.2.1 系統要求
5.2.2 安裝操作
5.2.3 安裝許可證
5.3 QuartusII設計輸入
5.3.1 文本設計輸入方式
5.3.2 原理圖設計輸入方式
5.3.3 混合輸入方式
5.4 QuartusII設計編譯
5.4.1 編譯前的設置
5.4.2 全程編譯
5.5 QuartusII設計仿真
5.5.1 創建波形文件
5.5.2 創建輸入輸出向量
5.5.3 設置仿真時間
5.5.4 設置輸入信號
5.5.5 波形仿真
5.6 QuartusII器件編程
5.6.1 引腳設置和下載
5.6.2 器件編程下載
5.7 Max+plusII軟件簡介
5.7.1 設計輸入
5.7.2 設計編譯
5.7.3 設計校驗
5.7.4 器件編程
5.8 轉化Max+PlusII工程文件
5.9 習題
第6章 EDA仿真技術應用實例
6.1 帶使能和片選端的16:4線優先編碼器設計
6.1.1 原理分析
6.1.2 程序設計
6.1.3 編譯仿真
6.2 7段顯示譯碼器設計
6.2.1 原理分析
6.2.2 程序設計
6.2.3 編譯仿真
6.3 帶異步清零端的12位二進制全加器設計
6.3.1 原理分析
6.3.2 程序設計
6.3.3 編譯仿真
6.4 帶異步清零/置位端的Ⅸ觸發器設計
6.4.1 原理分析
6.4.2 程序設計
6.4.3 編譯仿真
6.5 4位鎖存器設計
6.5.1 原理分析
6.5.2 程序設計
6.5.3 編譯仿真
6.6 32進制多樣型計數器設計
6.6.1 原理分析
6.6.2 程序設計
6.6.3 編譯仿真
6.7 8位多樣型移位寄存器設計
6.7.1 原理分析
6.7.2 程序設計
6.7.3 編譯仿真
6.8 Moore狀態機的設計
6.8.1 原理分析
6.8.2 程序設計
6.8.3 編譯仿真
6.9 Mealy狀態機的設計
6.9.1 原理分析
6.9.2 程序設計
6.9.3 編譯仿真
6.1 0習題
第7章 QuanusII中的宏功能模塊及應用
7.1 QuartusII宏功能模塊概述
7.1.1 宏功能模塊與LPM函數
7.1.2 知識產權IP核
7.2 宏功能模塊定製管理器
7.2.1 宏功能模塊定製管理器的使用
7.2.2 宏功能模塊定製管理器的文件
7.3 宏功能模塊的應用
7.3.1 arithmetic宏功能模塊
7.3.2 gates宏功能模塊
7.3.3 10組件宏功能模塊的使用
7.3.4 storage宏功能模塊的使用
7.4 宏功能模塊的例化
7.5 習題
第8章 常見EDA設計中的工程問題
8.1 建立時間和保持時間
8.2 競爭和冒險
8.2.1 PLD內部毛刺產生的原因
8.2.2 毛刺消除
8.3 EDA設計中的同步電路
8.3.1 同步電路與異步電路
8.3.2 同步清除和置位信號
8.4 時鐘問題
8.5 面積與速度之間的關係
8.5.1 串並轉換
8.5.2 流水線操作
8.6 低功耗設計原則
8.7 數字系統設計中可編程器件的選擇原則
8.7.1 從系統設計角度的目標器件選擇原則
8.7.2 從器件資源角度的目標器件選擇原則
8.7.3 從器件管腳來確定
8.8 習題
第9章 EDA技術工程應用實例
9.1 交通燈控制器的設計
9.1.1 原理分析
9.1.2 程序設計
9.1.3 編譯仿真
9.2 4X5矩陣鍵盤設計
9.2.1 原理分析
9.2.2 程序設計
9.2.3 編譯仿真
9.3 數字電子鐘設計
9.3.1 原理分析
9.3.2 程序設計
9.3.3 編譯仿真
9.4 6位十進制數字頻率計設計
9.4.1 原理分析
9.4.2 程序設計
9.4.3 編譯仿真
9.5 數字波形產生器設計
9.5.1 原理分析
9.5.2 程序設計
9.5.3 編譯仿真
9.6 10層全自動電梯控制器設計
9.6.1 原理分析
9.6.2 程序設計
9.6.3 編譯仿真
9.7 17階線性相位FIR濾波器設計
9.7.1 原理分析
9.7.2 程序設計
9.7.3 編譯仿真
9.8 習題
參考文獻 [2] 
……
參考資料