複製鏈接
請複製以下鏈接發送給好友

DSP Builder

鎖定
Altera可編程邏輯器件(PLD)中的DSP系統設計需要高級算法和HDL開發工具。Altera DSP Builder將The MathWorks MATLAB和Simulink系統級設計工具的算法開發、仿真和驗證功能與VHDL綜合、仿真和Altera開發工具整合在一起,實現了這些工具的集成。
中文名
DSP系統設計開發工具
外文名
DSP Builder
主要功能
DSP Builder在算法友好的開發環境中幫助設計人員生成DSP設計硬件表徵,從而縮短了DSP設計週期。已有的MATLAB函數和Simulink模塊可以和Altera DSP Builder模塊以及Altera知識產權(IP)MegaCore功能相結合,將系統級設計實現和DSP算法開發相鏈接。DSP Builder支持系統、算法和硬件設計共享一個公共開發平台。
設計人員可以使用DSP Builder模塊迅速生成Simulink系統建模硬件。DSP Builder包括比特和週期精度的Simulink模塊,涵蓋了算法和存儲功能等基本操作。可以使用DSP Builder模型中的MegaCore功能實現複雜功能的集成。
Altera還提供DSP Builder高級模塊集,這一Simulink庫實現了時序驅動的Simulink綜合。
Altera MegaCore是高級參數化IP功能,例如有限衝擊響應(FIR)濾波器和快速傅立葉變換(FFT)等,經過配置能夠迅速方便的達到系統性能要求。MegaCore功能支持Altera的IP評估特性,使您在購買許可之前,便可以驗證功能及其時序。
Altera IP MegaStore網站上為DSP Builder和IP評估流程提供DSP IP完整介紹
DSP Builder SignalCompiler模塊讀取由DSP Builder和MegaCore模塊構建的Simulink建模文件(.mdl),生成VHDL文件和工具命令語言(Tcl)腳本,進行綜合、硬件實施和仿真。圖示為DSP Builder設計流程。
DSP Builder設計流程 DSP Builder設計流程
DSP Builder是一個系統級(或算法級)設計工具,它構架在多個軟件工具之上,並把系統級和RTL級兩個設計領域的設計工具連接起來,最大程度地發揮了兩種工具的優勢【10】。DSP Builder依賴於Math Works公司的數學分析工具Matlab/Simulink,以Simulink的Blockset出現,可以在Simulink中進行圖形化設計和仿真,同時又通過Signal Compiler可以把Matlab/Simulink的設計文件(.mdl)轉成相應的硬件描述語言VHDL設計文件(.vhd),以及用於控制綜合與編譯的TCL腳本。而對後者的處理可以由FPGA/CPLD開發工具Quartus II來完成。