複製鏈接
請複製以下鏈接發送給好友

賈雯

(深圳清華大學研究院微電子與智能技術實驗室副主任研究員)

鎖定
賈雯,碩士,高級工程師,現任深圳清華大學研究院微電子與智能技術實驗室副主任研究員。2008年6月本科畢業於華中科技大學電子科學與技術系電子科學與技術專業,獲工學學士學位;2011年6月畢業於清華大學微電子學研究所,獲工學碩士學位 [1] 
中文名
賈雯
國    籍
中國

賈雯人物經歷

賈雯,碩士,高級工程師,現任深圳清華大學研究院微電子與智能技術實驗室副主任研究員。2008年6月本科畢業於華中科技大學電子科學與技術系電子科學與技術專業,獲工學學士學位;2011年6月畢業於清華大學微電子學研究所,獲工學碩士學位。2011年7月加入深圳清華大學研究院微電子與智能技術實驗室工作至今。賈雯的研究領域為面向無線醫療與健康監測應用的集成電路與系統設計等。在國內外會議期刊上發表的論文有40餘篇,9篇為SCI收錄,其他為EI收錄。申請國家發明專利15項,其中7項獲得授權。以第一作者在國際會議PrimeAsia2010(EI檢索)上發表的論文《A fast complete deblocking filter on a coarse-grained reconfigurable processor supporting H.264 high profile decoding》獲得了最佳論文獎。 [1] 

賈雯出版物

[1] Yingdan Li,Fei Chen,Zhuoyi Sun,Junyu Ji,Wen Jia,Zhihua Wang, A Smart Binaural Hearing Aid Architecture Leveraging a Smartphone APP With Deep-Learning Speech Enhancement, IEEE Access, Vol.8, pp. 56798 - 56810, 2020. [1] 
[2] Xue Zheng,Chun Zhang,Ping Chen,Kang Zhao,Hanjun Jiang,Zhiwei Jiang,Huafeng Pan,Zhihua Wang,Wen Jia, A CRNN System for Sound Event Detection Based on Gastrointestinal Sound Dataset Collected by Wearable Auscultation Devices, IEEE Access, Vol.8, pp. 157892 - 157905, 2020. [1] 
[3] Kang Zhao,Hanjun Jiang,Tao Yuan,Chun Zhang,Wen Jia,Zhihua Wang, A CNN Based Human Bowel Sound Segment Recognition Algorithm with Reduced Computation Complexity for Wearable Healthcare System, ISCAS 2020, pp. 1 - 5, 2020. [1] 
[4] Quansheng Wang,Peilin Yang,Hanjun Jiang,Yanshu Guo,Wen Jia,Zhihua Wang, A 34 nA Quiescent Current Switched-Capacitor Step-Down Converter with 1.2V Output Voltage and 0–5μA Load Current, ISCAS 2020, pp. 1 - 5, 2020.
[5] Wendi Yang,Hanjun Jiang,Yanshu Guo,Wen Jia,Zhihua Wang, A 2.8 μW 0.022 mm2 8 MHz Monolithic Relaxation Oscillator, ISCAS 2020, pp. 1 - 5, 2020. [1] 
[6] Xiao Wang,Fule Li,Wen Jia,Zhihua Wang, A 14-Bit 500-MS/s Time-Interleaved ADC With Autocorrelation-Based Time Skew Calibration, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.66, No.3, pp. 322 - 326, 2019. [1] 
[7] Fei Chen,Shuai Wang,Juanjuan Li,Huajun Tan,Wen Jia,Zhihua Wang, Smartphone-Based Hearing Self-Assessment System Using Hearing Aids With Fast Audiometry Method, IEEE Transactions on Biomedical Circuits and Systems, Vol.13, No.1, pp. 170 - 179, 2019. [1] 
[8] Lu-Tong Zhao,Chun Zhang,Mingchao Yin,Chuanbo Hu,Yuanhang Zhang,Wen Jia, Hardware Implementation of Connected Components Analysis for the Vision-based Tactile Perception Image, EDSSC 2019, pp. 1 - 3, 2019. [1] 
[9] Hongji Wang,Chun Zhang,Xijin Zhao,Yuanhang Zhang,Wen Jia,Lihong Pi, A Path Optimization Compression Algorithm for Layout Partitioning in OPC, EDSSC 2019, pp. 1 - 2, 2019. [1] 
[10] Wen Jia,Hanjun Jiang,Xiaofeng Yang,Wan Wang,Zhihua Wang,James Jin Wang,Youtu Wu, Passive Implantable Wireless Intracranial Pressure Monitoring Based on Near Field Communication, BioCAS 2019, pp. 1 - 4, 2019. [1] 
[11] Hanjun Jiang,Yanshu Guo,Zeliang Wu,Chun Zhang,Wen Jia,Zhihua Wang, Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing, IEEE Transactions on Biomedical Circuits and Systems, Vol.12, No.5, pp. 1076 - 1087, 2018. [1] 
[12] Wendi Yang,Hanjun Jiang,Zhihua Wang,Wen Jia, An Ultra-Low Power Temperature Sensor Based on Relaxation Oscillator in Standard CMOS, EDSSC 2018, pp. 1 - 2, 2018. [1] 
[13] Shaoquan Gao,Hanjun Jiang,Zhihua Wang,Wen Jia, Low voltage low power full adder for baseband circuits in wireless systems, EDSSC 2018, pp. 1 - 2, 2018. [1] 
[14] Zhenning Li,Chun Zhang,Yongming Li,Tuo Xie,Wen Jia, Research and Implementation of Indoor Positioning Prototype Based on Wi-Fi, Microelectronics & Computer, Vol.34, No.1, pp. 90 - 94, 2017. [1] 
[15] Xiaoyong Li,Sitao Lv,Woogeun Rhee,Wen Jia,Zhihua Wang, 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control, IEEE Transactions on Microwave Theory and Techniques, Vol.65, No.7, pp. 2387 - 2398, 2017. [1] 
[16] Zeliang Wu,Hanjun Jiang,Yanshu Guo,Chun Zhang,Wen Jia,Zhihua Wang, Live Demonstration:Wireless Intracranial Pressure Monitoring System Based on an Air Pressure Sensor, BioCAS 2017, pp. 1 - 1, 2017. [1] 
[17] Zeliang Wu,Hanjun Jiang,Yanshu Guo,Chun Zhang,Wen Jia,Zhihua Wang, Wireless Intracranial Pressure Monitoring System Based on an Air Pressure Sensor, BioCAS 2017, pp. 1 - 4, 2017. [1] 
[18] Jinxing Guo,Liji Wu,Yun Niu,Ziqiang Wang,Wen Jia, An IPSec Accelerator for Online Network Security Processor SoC, Microelectronics, Vol.46, No.1, pp. 90 - 94, 2016. [1] 
[19] Xuqiang Zheng,Fule Li,Zhijun Wang,Weitao Li,Wen Jia,Zhihua Wang,Shigang Yue, An S/H circuit with parasitics optimized for IF-sampling, Journal of Semiconductors, Vol.37, No.6, pp. 065005-1 - 5, 2016. [1] 
[20] Jingyi Zheng,Shaoquan Gao,Hanjun Jiang,Chun Zhang,Zhihua Wang,Wen Jia, A GMSK demodulator with frequency offset estimation and calibration, Application of Electronic Technique, Vol.42, No.6, pp. 101 - 104, 2016. [1] 
[21] Xuwen Ni,Yining Zhang,Woogeun Rhee,Wen Jia,Zhihua Wang, A 0.5mW 1Mb/s Multi-Channel Chirp-UWB Transmitter with Burst-Mode Transmission and Optimized Digital Gradient, IWS 2016, pp. 1 - 4, 2016. [1] 
[22] Peiyi Li,Zheng Song,Jianfu Lin,Meng Wei,Feng Guo,Wen Jia,Zhihua Wang,Baoyong Chi, A Reconfigurable Digital Polar Transmitter with Open-loop Phase Modulation for Sub-GHz Applications, ISIE 2016, pp. 1158 - 1161, 2016. [1] 
[23] Xuecheng Man,Liji Wu,Xiangmin Zhang,Taikun Ma,Wen Jia, A high precision multi-cell battery voltage detecting circuit for battery management systems, IEEE 83rd Vehicular Technology Conference (VTC Spring 2016), pp. 1 - 5, 2016. [1] 
[24] Qiyong Tang,Chun Zhang,Yongming Li,Wen Jia, Low-complexity Algorithm and Circuit Design of Correlation for Time Delay Estimation , ICEIEC 2016, pp. 1 - 5, 2016. [1] 
[25] Zhenning Li,Chun Zhang,Yongming Li,Tuo Xie,Heyi Hu,Wen Jia, The Design of Indoor Positioning Prototype Based on Wi-Fi, ICEIEC 2016, pp. 216 - 220, 2016. [1] 
[26] Heyi Hu,Chun Zhang,Yongming Li,Wen Jia, Demodulation of Continuous Phase 2FSK Signal with Gardner Synchronization, ICEIEC 2016, pp. 228 - 231, 2016. [1] 
[27] Lixue Kuang,Baoyong Chi,Haikun Jia,Wen Jia,Zhihua Wang, A 60-GHz CMOS dual-mode power amplifier with efficiency enhancement at low output power, IEEE Transactions on Circuits and Systems II: Express Briefs, Vol.62, No.4, pp. 352 - 356, 2015. [1] 
[28] Shuai Yuan,Ziqiang Wang,Xuqiang Zheng,Wen Jia,Liji Wu,Chun Zhang,Zhihua Wang, 10 Gbit/s serial link receiver with speculative decision feedback equaliser using mixed-signal adaption in 65 nm CMOS technology, Electronics Letters, Vol.51, No.21, pp. 1645 - 1647, 2015. [1] 
[29] Xiaoyong Li,Sitao Lv,Xiaofeng Liu,Ni Xu,Woogeun Rhee,Wen Jia,Zhihua Wang, A 10 Mb/s Hybrid Two-Point Modulator with Front-End Phase Selection and Dual-Path DCO Modulation, IWS 2015, pp. 1 - 4, 2015. [1] 
[30] Xiaoyong Li,Woogeun Rhee,Wen Jia,Zhihua Wang, A multi-bit FIR filtering technique for two-point modulators with dedicated digital high-pass modulation path, ISCAS 2015, pp. 894 - 897, 2015. [1] 
[31] Shuai Yuan,Liji Wu,Ziqiang Wang,Xuqiang Zheng,Peng Wang,Wen Jia,Chun Zhang,Zhihua Wang, A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive DFE using hybrid alternate clock scheme and baud-rate CDR in 65nm CMOS, ESSCIRC 2015, pp. 144 - 147, 2015. [1] 
[32] Shuai Yuan,Liji Wu,Ziqiang Wang,Xuqiang Zheng,Wen Jia,Chun Zhang,Zhihua Wang, A 4×20-Gb/s 0.86pJ/b/lane 2-tap-FFE source-series-terminated transmitter with far-end crosstalk cancellation and divider-less clock generation in 65nm CMOS[C], CICC 2015, pp. 1 - 4, 2015. [1] 
[33] Lixue Kuang,Baoyong Chi,Lei Chen,Wen Jia,Zhihua Wang, A fully-differential phase-locked loop frequency synthesizer for 60-GHz wireless communication, Journal of Semiconductors, Vol.35, No.12, pp. 125002-1-6, 2014. [1] 
[34] Wei Zhu,Baoyong Chi,Lixue Kuang,Wen Jia,Zhihua Wang, An inductorless CMOS programmable-gain amplifier with a 3 GHz bandwidth for 60 GHz wireless transceivers, Journal of Semiconductors, Vol.35, No.10, pp. 105001-1-6, 2014. [1] 
[35] Lixue Kuang,Baoyong Chi,Haikun Jia,Zuochang Ye,Wen Jia,Zhihua Wang, Co-Design of 60-GHz Wideband Front-End IC With On-Chip T/R Switch Based on Passive Macro-Modeling, IEEE Transactions on Microwave Theory and Techniques, Vol.62, No.11, pp. 2743 - 2754, 2014. [1] 
[36] Kai Yang,Hanjun Jiang,Wendi Yang,Frederic Mes,Chun Zhang,Zhihua Wang,Qingliang Lin,Wen Jia, Lifetime tracing of cardiopulmonary sounds with low-power sound sensor stick connected to wireless mobile network, Analog Integrated Circuits and Signal Processing, Vol.81, No.3, pp. 623 - 634, 2014. [1] 
[37] Wendi Yang,Kai Yang,Hanjun Jiang,Zhihua Wang,Qingliang Lin,Wen Jia, Fetal heart rate monitoring system with mobile internet, ISCAS 2014, pp. 443 - 446, 2014. [1] 
[38] Zongming Jin,Xiaobao Yu,Siyang Han,Ying Song,Ziqiang Wang,Wen Jia,Baoyong Chi, A 1.5–1.9GHz phase-locked loop (PLL) frequency synthesizer with AFC and Σ-Δ modulator for Sub-GHz wireless transceiver, ICSICT 2014, pp. 1 - 3, 2014. [1] 
[39] Xiliang Liu,Zheng Song,Wen Jia,Baoyong Chi, An Output Capacitorless Low-Dropout Regulator Based on Flipped Voltage Follower, ICSICT 2014, pp. 1 - 3, 2014. [1] 
[40] Yanqiang Gao,Yun Yin,Wen Jia,Baoyong Chi, A Reconfigurable Digital Intermediate Frequency Module for Software Defined Radio Transmitters, ICSICT 2014, pp. 1 - 3, 2014. [1] 
[41] Tian Ding,Chun Zhang,Zhongqiu Li,Wei Zhang,Hong Chen,Wen Jia, Design of spread spectrum based UHF RFID tag for location system, EDSSC 2014, pp. 1 - 2, 2014. [1] 
[42] Yun Yin,Baoyong Chi,Xiaobao Yu,Wen Jia,Zhihua Wang, An Efficiency-Enhanced 2.4GHz Stacked CMOS Power Amplifier with Mode Switching Scheme for WLAN Applications, CICC 2014, pp. 1 - 4, 2014. [1] 
[43] Xiangyu Meng,Baoyong Chi,Haikun Jia,Lixue Kuang,Wen Jia,Zhihua Wang, A wideband on-chip millimeter-wave patch antenna in 0.18 μm CMOS, Journal of Semiconductors, Vol.34, No.10, pp. 105010-1 - 105010-5, 2013. [1] 
[44] Lixue Kuang,Baoyong Chi,Haikun Jia,Zuochang Ye,Wen Jia,Zhihua Wang, Co-design of 60GHz wideband front-end IC with on-chip Tx/Rx switch based on passive macro-modeling, RFIC 2013, pp. 93 - 96, 2013. [1] 
[45] Zhihua Wang,Hanjun Jiang,Kai Yang,Lingwei Zhang,Jianjun Wei,Fule Li,Baoyong Chi,Chun Zhang,Shouhao Wu, Qingliang Lin, Wen Jia, Lifetime Tracing of Cardiopulmonary Sounds with Ultra-Low-Power Sound Sensor Stick Connected to Wireless Mobile Network, NEWCAS 2013, pp. 1 - 4, 2013. [1] 
[46] Kai Yang,Zhuan He,Wendi Yang,Qi Tang,Dongmei Li,Zhihua Wang,Qingliang Lin,Wen Jia, Heart Sound Denoising Using Computational Auditory Scene Analysis for a Wearable Stethoscope, MWSCAS 2013, pp. 1220 - 1223, 2013. [1] 
[47] Zhihua Wang,Kai Yang,Wan Wang,Hanjun Jiang,Shouhao Wu,Qingliang Lin,Wen Jia, Sound monitoring based wireless healthcare and a typical implmenation for heart rate monitoring, EDSSC 2013, pp. 1 - 2, 2013.
[48] Wen Jia,Leibo Liu,Shouyi Yin,Min Zhu,Zhihua Wang, A fast complete deblocking filter on a coarse-grained reconfigurable processor supporting H.264 high profile decoding, PrimeAsia 2010, pp. 221 - 224, 2010. [1] 

賈雯所持專利

[1] 張春,趙西金,胡濱,賈雯,王志華. 週期性圖形陣列提取方法、計算機裝置及存儲介質: 中國, 202011414454.5[P]. [1] 
[2] 尹説,姜漢鈞,王志華,賈雯. 心電信號處理方法及裝置: 中國, 202010415291.6[P]. [1] 
[3] 姜漢鈞,賈雯,王志華,張春. 壓力檢測系統及測壓方法: 中國, 201810345426.9[P]. [1] 
[4] 李福樂,王成偉,賈雯,王志華. 提高流水線模數轉換器輸出精度的方法及模數轉換器: 中國, 201710743591.5[P]. 2020-12-08. [1] 
[5] 李福樂,劉佳,賈雯,王志華. 參考電壓驅動電路: 中國, 201710326104.5[P]. 2020-03-24. [1] 
[6] 李福樂,王曉,賈雯,王志華. 流水線模數轉換器及其運放自適應配置電路及方法: 中國, 201710326116.8[P]. [1] 
[7] 烏力吉,王義,張向民,吳行軍,賈雯,王志華. 微控制器及其控制方法: 中國, 201610320452.7[P]. 2019-02-01. [1] 
[8] 李宇根,李小勇,賈雯,王志華. 調製器及其延時自動校準電路及延時控制模塊: 中國, 201610058072.0[P]. 2018-10-09. [1] 
[9] 宋哲, 姜漢鈞, 林慶良, 賈雯, 王志華. 基礎體温檢測裝置以及基礎體温的檢測方法: 中國, 201410059920.0[P]. 2016-01-13. [1] 
[10] 池保勇, 況立雪, 賈海昆, 賈雯, 王志華. 無線通信收發機前端: 中國, 201310215615.1[P]. 2015-09-23. [1] 
[11] 池保勇, 賈海昆, 賈雯, 王志華. 差分傳輸裝置及包括所述差分傳輸裝置的放大器: 中國, 201310104257.7[P]. 2017-03-15. [1] 
參考資料